• 回复
  • 收藏
  • 点赞
  • 分享
  • 发新帖

设计并制作一个由两个额定输出功率均为16W 的8V DC/DC 模块并联供电

(1)调整负载电阻至额定输出功率工作状态,供电系统的直流输出电压
UO=8.0±0.4V。
(2)额定输出功率工作状态下,供电系统的效率不低于60% 。
(3)调整负载电阻,保持输出电压UO=8.0±0.4V,使两个模块输出电流之
和IO =1.0A 且按I1:I2=1:1 模式自动分配电流,每个模块的输出电流的相对
误差绝对值不大于5%。
(4)调整负载电阻,保持输出电压UO=8.0±0.4V,使两个模块输出电流之
和IO =1.5A 且按I1:I2= 1:2 模式自动分配电流,每个模块输出电流的相对误
差绝对值不大于5%。
全部回复(9)
正序查看
倒序查看
2011-08-31 09:12
0
回复
auhed
LV.3
3
2011-08-31 10:59
@hanbingwoaini

比赛完,我给你,呵呵

0
回复
赵媛媛
LV.1
4
2011-08-31 14:17
@hanbingwoaini
我也没有,你有的话可以发给我吗,谢谢
0
回复
2011-08-31 15:25
比赛完我给你,呵呵呵呵!!!!!
0
回复
stmjzl
LV.4
6
2011-08-31 18:22
其实这道题表面简介,实质难度不少啊,特别最后那个2%的指标是很难做到得
0
回复
2011-09-01 13:26
@stmjzl
其实这道题表面简介,实质难度不少啊,特别最后那个2%的指标是很难做到得
一点思路:
.两个DC/DC直接并联,而且功率较大,可以考虑采用buck结构。因为两个输出电压和输入电压完全相同,可以考虑直接并联,但一定要注意两个电源的一致性,否则就采用隔离措施防止倒灌。3.电源是恒压源,电流实现自动比例分配。基本要求部分要求电流按照固定的两个比例进行,如果只完成基本部分设计要求的话就只需要在电路中做好两种固定输出电流对应的比例设置,设置方法可以考虑使用控制器+电流采样处理和运放+MOS管配合实现固定电流比例控制,达到两路电流成固定比例的目的。至于相对误差,可以采用电流采样及数字处理,反馈控制恒流控制模块。

0
回复
terrorist
LV.1
8
2011-09-02 09:45
@auhed
比赛完,我给你,呵呵

敢不敢现在给我 ,我以神速完成!!!!!!!!!

0
回复
2011-09-13 16:22
@7号公路
一点思路:.两个DC/DC直接并联,而且功率较大,可以考虑采用buck结构。因为两个输出电压和输入电压完全相同,可以考虑直接并联,但一定要注意两个电源的一致性,否则就采用隔离措施防止倒灌。3.电源是恒压源,电流实现自动比例分配。基本要求部分要求电流按照固定的两个比例进行,如果只完成基本部分设计要求的话就只需要在电路中做好两种固定输出电流对应的比例设置,设置方法可以考虑使用控制器+电流采样处理和运放+MOS管配合实现固定电流比例控制,达到两路电流成固定比例的目的。至于相对误差,可以采用电流采样及数字处理,反馈控制恒流控制模块。
本题负载调整率要求不高,实现较容易。关键是均流不好设计,非隔离输出采样要高端采样,比较麻烦。设计成隔离的,会容易
0
回复
Arive
LV.1
10
2013-08-01 07:24
@7号公路
一点思路:.两个DC/DC直接并联,而且功率较大,可以考虑采用buck结构。因为两个输出电压和输入电压完全相同,可以考虑直接并联,但一定要注意两个电源的一致性,否则就采用隔离措施防止倒灌。3.电源是恒压源,电流实现自动比例分配。基本要求部分要求电流按照固定的两个比例进行,如果只完成基本部分设计要求的话就只需要在电路中做好两种固定输出电流对应的比例设置,设置方法可以考虑使用控制器+电流采样处理和运放+MOS管配合实现固定电流比例控制,达到两路电流成固定比例的目的。至于相对误差,可以采用电流采样及数字处理,反馈控制恒流控制模块。
嗨!能否把详细资料给我呀!O(∩_∩)O谢谢!急用,,,,,
0
回复